مكتبات مكتوبة بلغة VHDL

ghdl

جهاز محاكاة VHDL 2008/93/87.
  • 2.0k
  • GNU General Public License v3.0 only

aws-fpga

المستودع الرسمي لمجموعة أدوات تطوير البرامج والأجهزة AWS EC2 FPGA.
  • 1.4k
  • GNU General Public License v3.0

Time-Appliance-Project

قم بتطوير نموذج مرجعي افتراضي من طرف إلى طرف ، وبنيات الشبكة ، وأهداف الأداء وطرق التوزيع والتشغيل ومراقبة مزامنة الوقت داخل مركز البيانات وغير ذلك الكثير....
  • 1.2k
  • MIT

neorv32

🖥️ وحدة معالجة مركزية صغيرة الحجم وقابلة للتخصيص وقابلة للتوسيع بدرجة كبيرة من فئة MCU من فئة 32 بت RISC-V لينة النواة ووحدة معالجة مركزية دقيقة تشبه وحدة التحكم الدقيقة مكتوبة في VHDL مستقل عن النظام الأساسي.
  • 1.2k
  • BSD 3-clause "New" or "Revised"

Open-Source-FPGA-Bitcoin-Miner

تطبيق مفتوح المصدر بالكامل لـ Bitcoin Miner لـ Altera و Xilinx FPGAs. يأمل هذا المشروع في تعزيز التطوير المجاني والمفتوح لحلول التعدين القائمة على FPGA وتأمين مستقبل مشروع Bitcoin ككل. يتوفر إصدار ثنائي حاليًا لمجلس تطوير Terasic DE2-115 ، وهناك مشاريع قابلة للتجميع للعديد من اللوحات..
  • 1.2k
  • GNU General Public License v3.0 only

chipwhisperer

ChipWhisperer - سلسلة أدوات كاملة مفتوحة المصدر لتحليل طاقة القناة الجانبية وهجمات الخلل.
  • 904
  • GNU General Public License v3.0

vunit

VUnit هو إطار اختبار وحدة لـ VHDL / SystemVerilog.
  • 624
  • GNU General Public License v3.0

gplgpu

محرك رسومات GPL v3 2D / 3D في فيريلوج.
  • 596
  • GNU General Public License v3.0 only

bladeRF-wiphy

BladeRF-wiphy هو مودم راديو VHDL مفتوح المصدر ومتوافق مع معيار IEEE 802.11.
  • 320
  • GNU General Public License v3.0 only

opl3_fpga

نسخة هندسية عكسية SystemVerilog RTL من Yamaha OPL3 (YMF262) FM Synthesizer.
  • 313
  • GNU Lesser General Public License v3.0 only

forth-cpu

وحدة معالجة مركزية رابعة ونظام على شريحة ، استنادًا إلى J1 ، مكتوب بلغة VHDL.
  • 299

UVVM

UVVM (منهجية التحقق العالمية من VHDL) هي منهجية ومكتبة مجانية ومفتوحة المصدر للتحقق من VHDL بكفاءة عالية من FPGA و ASIC - مما أدى أيضًا إلى تحسين الجودة بشكل كبير. منتدى المجتمع: https://forum.uvvm.org/ UVVM.org: https://uvvm.org/.
  • 299
  • Apache License 2.0

Hastlayer-SDK

تحويل برنامج. NET إلى جهاز FPGA لتنفيذ أسرع واستخدام أقل للطاقة..
  • 269
  • BSD 3-clause "New" or "Revised"

tinyTPU

تنفيذ وحدة معالجة Tensor للأنظمة المدمجة وإنترنت الأشياء.
  • 269
  • GNU General Public License v3.0

ghdl-yosys-plugin

توليف VHDL (على أساس ghdl).
  • 266
  • GNU General Public License v3.0 only

FPGA_DisplayPort

تطبيق بروتوكول DisplayPort لـ FPGAs.
  • 249
  • MIT

potato

معالج RISC-V بسيط للاستخدام في تصميمات FPGA. (بواسطة skordal).
  • 240
  • BSD 3-clause "New" or "Revised"

a2i

  • 237
  • GNU General Public License v3.0

surf

مكتبة VHDL ضخمة لتطوير FPGA (بواسطة slaclab).
  • 235
  • GNU General Public License v3.0

rust_hdl

  • 233
  • GNU General Public License v3.0

satcat5

SatCat5 هو محول إيثرنت متعدد الوسائط يتيح لمجموعة متنوعة من الأجهزة الاتصال على نفس الشبكة..
  • 226
  • GNU Lesser General Public License v3.0 only

zpu

Zylin ZPU.
  • 218

mega65-core

MEGA65 FPGA الأساسية.
  • 216
  • GNU General Public License v3.0

gb-research

بحث أجهزة Game Boy.
  • 212

PlayStation_MiSTer

بلاي ستيشن MiSTer FPGA.
  • 197
  • GNU General Public License v3.0 only

OpenXenium

OpenXenium - مشروع استبدال Xenium Modchip CPLD مفتوح المصدر لجهاز Xbox الأصلي.
  • 196

VHDL_Lib

مكتبة مكونات VHDL المفيدة في التصميمات الأكبر..
  • 188

neo430

: الكمبيوتر: نظام معالج شبيه بمتحكم دقيق ناعم ومتوافق مع msp430 صغير الحجم ومكتوب بلغة VHDL مستقلة عن النظام الأساسي.
  • 178
  • BSD 3-clause "New" or "Revised"

SNES_MiSTer

SNES لـ MiSTer.
  • 160
  • GNU General Public License v3.0 only

Artix-7-HDMI-processing

استقبال ومعالجة الصوت والفيديو 1080p HDMI على Artix 7 FPGA.
  • 153